Misc.

Sep 18, 2013

Optically reconfigurable gate array with a variable spot-size configuration context

IEICE technical report
  • AKAGI Kouta
  • ,
  • WATANABE Minoru

Volume
113
Number
221
First page
109
Last page
112
Language
Japanese
Publishing type
Publisher
The Institute of Electronics, Information and Communication Engineers

We have been developing Optically Reconfigurable Gate Arrays (ORGAs) to realize a faster dynamic reconfiguration than that of the other reconfigurable devices by using optical components. An ORGA consists of a laser array, a holographic memory, and a gate array-VLSI as a three-layer structure. An ORGA can achieve fast reconfiguration by using a two-dimensional optical connection. In addition, an ORGA can have a lot of configuration contexts inside a holographic memory. Therefore, an ORGA can realize a large virtual gate by exploiting a high-speed dynamic reconfiguration. This paper proposes a more advanced variable spot-size configuration in order to increase its gate density and presents an evaluation result.

Link information
CiNii Articles
http://ci.nii.ac.jp/naid/110009783149
CiNii Books
http://ci.nii.ac.jp/ncid/AA12046591
URL
http://id.ndl.go.jp/bib/024926656
ID information
  • ISSN : 0913-5685
  • CiNii Articles ID : 110009783149
  • CiNii Books ID : AA12046591

Export
BibTeX RIS