Misc.

Jan 22, 2009

A programmable 9-contexts optically reconfigurable gate arrays and its writer

IEICE technical report
  • KUBOTA Shinya
  • ,
  • WATANABE Minoru

Volume
108
Number
412
First page
37
Last page
40
Language
Japanese
Publishing type
Publisher
The Institute of Electronics, Information and Communication Engineers

Recently, optically reconfigurable gate arrays (ORGAs) that can realize dynamic reconfiguration have been developed. The ORGAs consists of a holographic memory, a laser array, and a gate array VLSI. ORGAs are new type VLSIs, the gate arrays of which are optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. However, conventional ORGAs have one important shortcoming. They cannot be reprogrammed after fabrication. Therefore, to improve that shortcoming, we have been developing a programmable optically reconfigurable gate array architecture. So, this paper presents the demonstration of a programmable 9-contexts optically reconfigurable gate arrays and its writer.

Link information
CiNii Articles
http://ci.nii.ac.jp/naid/110007133640
CiNii Books
http://ci.nii.ac.jp/ncid/AN10013323
URL
http://id.ndl.go.jp/bib/9792724
ID information
  • ISSN : 0913-5685
  • CiNii Articles ID : 110007133640
  • CiNii Books ID : AN10013323

Export
BibTeX RIS