Misc.

Jan 22, 2009

Perfect demonstration of a four-context Optically Reconfigurable Gate Array

  • MABUCHI Takayuk
  • ,
  • WATANABE Minoru

Volume
2009
Number
7
First page
41
Last page
44
Language
Japanese
Publishing type
Publisher
Information Processing Society of Japan (IPSJ)

Optically reconfigurable gate arrays (ORGAs) consist of a gate array VLSI, a holographic memory and a laser diode array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. This paper shows the perfect demonstration of a four-context Optically Reconfigurable Gate Array. Also, the experimental results of a superimposing technique are presented.

Link information
CiNii Articles
http://ci.nii.ac.jp/naid/110007131418
CiNii Books
http://ci.nii.ac.jp/ncid/AA11451459
URL
http://id.ndl.go.jp/bib/9792734
URL
http://id.nii.ac.jp/1001/00061225/
ID information
  • ISSN : 0919-6072
  • CiNii Articles ID : 110007131418
  • CiNii Books ID : AA11451459

Export
BibTeX RIS