Papers

Peer-reviewed
Jun, 2011

Optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory

Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2011
  • Takayuki Mahuchi
  • ,
  • Minoru Watanabe
  • ,
  • Akifumi Ogiwara
  • ,
  • Fuminori Kobayashi

First page
44
Last page
49
Language
English
Publishing type
Research paper (international conference proceedings)
DOI
10.1109/AHS.2011.5963965

Optically reconfigurable gate arrays (ORGAs) have been developed as a type of high-fault-tolerance multi-context field programmable gate array for space applications under radiation-rich environments. However, although many configuration contexts can be stored on an ORGA device and can be implemented on its gate array dynamically in an extremely short time, several laser sources are necessary to address the configuration contexts. Since such lasers are always expensive and because such devices are easily damaged by surge current, reducing the number of lasers is an important factor. Therefore, this paper presents a proposal of a new optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory. Under the ORGA, all configuration contexts can be addressed by half the number of lasers as there are reconfiguration contexts. This paper presents demonstration results of the optically re-configurable gate array obtained using a polymer-dispersed liquid crystal holographic memory. © 2011 IEEE.

Link information
DOI
https://doi.org/10.1109/AHS.2011.5963965
ID information
  • DOI : 10.1109/AHS.2011.5963965
  • SCOPUS ID : 80052133543

Export
BibTeX RIS