Hiroki Ishikuro
(石黒 仁揮)
Modified on: 02/14
Profile Information
- Affiliation
- Professor, Faculty of Science and Technology Department of Electronics and Electrical Engineering, Keio University
- Degree
-
工学(The University of Tokyo)
- Researcher number
- 80433738
- J-GLOBAL ID
- 200901026392510394
- researchmap Member ID
- 5000105323
- External link
Research Areas
1Research History
4-
Apr, 2014 - Present
-
Apr, 2008 - Mar, 2014
-
Apr, 2006 - Mar, 2008
-
Apr, 1999 - Mar, 2006
Education
3Committee Memberships
14-
Jun, 2007 - Present
-
Jun, 2007 - Present
-
Sep, 2006 - Present
-
Sep, 2006 - Present
-
Jun, 2006 - Present
-
Jun, 2006 - Present
-
Apr, 2006 - Present
-
Apr, 2006 - Present
-
Oct, 2002 - Feb, 2004
-
Oct, 2002 - Feb, 2004
-
Apr, 1994 - Mar, 1999
-
Apr, 1994 - Mar, 1999
Awards
1Papers
56-
Midwest Symposium on Circuits and Systems, 2020-August 937-940, Aug, 2020
-
Midwest Symposium on Circuits and Systems, 2020-August 929-932, Aug, 2020
-
I2MTC 2020 - International Instrumentation and Measurement Technology Conference, Proceedings, May, 2020
-
I2MTC 2020 - International Instrumentation and Measurement Technology Conference, Proceedings, May, 2020
-
IEEE Transactions on Electron Devices, 66(12) 5393-5398, Dec, 2019
-
2019 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019, 474-477, Nov, 2019
-
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27(11) 2575-2586, Nov, 2019
-
Proceedings - APCCAS 2019: 2019 IEEE Asia Pacific Conference on Circuits and Systems: Innovative CAS Towards Sustainable Energy and Technology Disruption, 105-108, Nov, 2019
-
2019 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019, 350-353, Nov, 2019
-
Digest of Technical Papers - Symposium on VLSI Technology, 2019-June T158-T159, Jun, 2019
-
IEEE Symposium on VLSI Circuits, Digest of Technical Papers, 2019-June T158-T159, Jun, 2019
-
Midwest Symposium on Circuits and Systems, 2018-August 242-245, Jan 22, 2019
-
Proceedings - IEEE International Symposium on Circuits and Systems, 2019-May, 2019
-
2018 48th European Microwave Conference, EuMC 2018, 186-189, Nov 20, 2018
-
IEEE MTT-S International Microwave Symposium Digest, 2018-June 1261-1264, Aug 17, 2018
-
IEEE International Conference on Microelectronic Test Structures, 2018-March 202-205, Jun 12, 2018
-
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018-January 317-318, Feb 20, 2018
-
IEEE Journal of Solid-State Circuits, 53(2) 538-551, Feb, 2018
-
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(10) 1902-1907, Oct, 2011 Peer-reviewed
-
IEEE Transactions on Circuits and Systems I: Regular Papers, 48(10) 192-199, Oct, 2010 Peer-reviewed
Presentations
77-
2012 IEEE International Solid-State Circuits Conference (ISSCC), Feb, 2012, IEEE
-
2012 IEEE Radio and Wireless Symposium(RWS, Jan, 2012, IEEE
-
IEEE Asian Solid-State Circuits Conference (ASSCC), Nov, 2011, IEEE
-
37th Solid-State Circuits Conference (ESSCIRC), Sep, 2011, IEEE
-
VLSIシンポジウム報告会, Jul 28, 2011, IEEE
-
電気学会研究会, Jul 8, 2011, 電気学会 Invited
-
電子情報通信学会集積回路研究会, Jul, 2011, 電子情報通信学会
-
1st International Symposium on Access Spaces (ISAS), Jun, 2011, IEEE
-
Symposium on VLSI Circuits (VLSI), Jun, 2011, IEEE
-
IEEE International Solid-State Circuits Conference(ISSCC), Feb, 2011, IEEE
-
IEEE International Solid-State Circuits Conference(ISSCC), Feb, 2011, IEEE
-
An 0.5V, 0.91pJ/bit, 1.1Gb/s/ch transceiver in 65nm CMOS for high-speed wireless proximity interfaceIEEE Radio and Wireless Symposium (RWS), Jan, 2011, IEEE
-
IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Dec, 2010, IEEE
-
IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Dec, 2010, IEEE
-
IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Dec, 2010, IEEE
-
電子情報通信学会集積回路研究会, Dec, 2010, 電子情報通信学会
-
IEEE Asian Solid State Circuits Conference (A-SSCC), Nov, 2010, IEEE
-
IEEE International SOI Conference, Oct, 2010, IEEE
-
IEEE Symposium on VLSI Circuits, Jun, 2010, IEEE
-
電子情報通信学会集積回路研究会, Apr, 2010, 電子情報通信学会 Invited
Teaching Experience
28Professional Memberships
4Research Projects
2-
Grants-in-Aid for Scientific Research, Grant-in-Aid for Scientific Research (A), Japan Society for the Promotion of Science, Apr, 2020 - Mar, 2023
-
Grants-in-Aid for Scientific Research, Grant-in-Aid for Young Scientists (B), Japan Society for the Promotion of Science, 2009 - 2010